在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

數字電路和模擬電路工程師職位哪個好?老司機對比分析告訴你答案

工程師人生 ? 來源:網絡整理 ? 作者:佚名 ? 2018-07-11 07:50 ? 次閱讀

先給大家分享一個測試設計的職位描述:

Job Function: Definition, architecture, modeling, verification, bring-up, debug and support of structural test for achievement of high defect coverage of microprocessor designs from product definition through production.

Responsibilities:

? Define, model and verify DFT features.
? Utilize industry-standard ATPG tools to generate patterns and verify them.
? Simulate/verify DFT patterns using Verilog VCS.
? Bring-up and debug DFT patterns on the ATE.
? Develop, implement and support DFT methodologies.
? Proficient with at-speed scan architectures, memory BIST and/or logic BIST.
? Proficient with coding/scripting using Perl.
? Mentor less senior DFT engineers and lead their efforts in achieving project objectives.
? Collaborate with engineering professionals across the company in order to advance the state of the art of DFT and test practices at AMD.

Preferred Experience:

? Master of EE or above. 4+ years DFT experience.
? Experience in microprocessor design or experience in handling complex SOC designs.
? Knowledgeable about industrial standards in DFT such as LBIST/JTAG/MBIST.
? Knowledgeable about ATE testers and ATPG standard practices.
? Expert knowledge of Verilog, RTL, Verilog simulators and waveform debugging tools.
? Good debugging capability with both RTL and gate-level simulations.
? Good communication skills and the ability to work with geographically distributed design sites.

可以看出要求很多。一些剛進入電路行業的人會認為測試相關的事情技術含量很低啊,當然測試間的操作員是要做很多重復性勞動。但是測試設計完全是另一回事。大些的企業里,測試設計工程師跟測試工程師是2個不同職位。前者主要負責制定測試策略,添加測試電路,生成測試向量,協助后者調通測試程序。后者主要在機臺上調通程序,處理量產中測試相關問題,分析失效原因,協助其他工藝提高良品率。小點的公司可能2件事情同一個人做,或者干脆由管綜合的人做前者的事情,后者由工廠相關人員協助完成。由于大部分公司做的產品可靠性要求不高,所以很多時候只是在芯片上運行一下功能測試的程序,不需要做全面的掃描,對測試設計的專業性也就沒那么高。另外很多公司甚至沒有量產經歷,導致真正水平較高的測試設計人員很難找到。一般來說做測試設計的人員都是有過一段時間邏輯電路設計經驗的,測試工程師大部分是半導體廠直接招的畢業生練出來的。如果有公司要染指利潤,可靠性要求較高的汽車電子,航空電子,最重要的是要有夠水平的測試設計人員。

數字電路方面基本就這些崗位,模擬電路的崗位基本也就電路設計跟版圖設計,一個電路公司里邊如果有小妞,基本會出現在畫版圖的位置上,不過這些也基本是屌絲女,白富美基本不會光顧這種行業。下面看看這2種崗位的需求。

Position Description

Analog IC designer - responsible for the design and development of analog/mixed signal IC circuit blocks from initial concept/specification through final verification of conformance to customer specifications.Background should demonstrate good problem solving skills, excellent analog aptitude, communication skills, and ability to work cooperatively in a team environment.The candidate would become part of analog IC design team creating leading edge IP (ADC's; DAC's; PLL's; SerDes) in leading edge processes (28nm and below).

Position Requirements

Must be familiar with design concepts for some basic analog functions including some of the following: data conversion, switched-capacitor circuits, op-amps, comparators, voltage and current references, phase-locked loops. Must be proficient in using CAD tools for circuit simulation, verification, and layout. 2-3 years employment or intern epxerience.Postion is also open to high performing recent EE graduate who has completed coursework that includes analog design.

版圖工程師

Key Areas of Responsibility:
? Technical tasks involved are full customer layout design, layout check and verification.

Required knowledge, skills, abilities:
? Understanding the basic process and device knowledge. It is preferred to have knowledge on HV process/device.
? Familiar with layout tools, verification tools, command file based on cadence environment.

Additional knowledge, skills, abilities, certifications:
? Understanding basic IC design knowledge, especially in analog IC. Knowing of ESD and latch-up related will be considered a plus.

Required education and experience:
? At least Bachelor degree is required. More than one year of prior experience in full-custom –design analog IC layout is necessary. Layout experience in Power management IC with HV process is preferred.

現在畫模擬版圖電路基本都是cds的輸入仿真工具,外加mentor的驗證工具,現在模擬電路設計基本停留在cad階段,eda還處在概念期,所以對人員經驗要求較高。很多公司對有經驗的電路工程師的定義是8年以上,可見這個崗位成才挺慢。做模擬電路需要對電路理論,半導體制程都有些了解,如果做高頻電路,還要懂電磁波跟信號處理方面的東西,這些東西上大學期間不要說學生能夠完全搞清楚的沒幾個,即使大部分教這些課程的叫獸僵尸,也只不過能把課本念熟練,真正完全理解的也不多見。所以真正有水平的模擬電路設計師,尤其是射頻集成電路設計的,即使世界范圍內也是稀缺資源。當然國內現在也有不少在做模擬電路的,但是大部分是做電源管理芯片,這個大概算模擬電路中的入門產品吧,甚至有些小公司直接翻抄版圖,也能出些產品。整體來說,這個崗位屬于需求大于供給的,即使水平一般,也不愁沒地方工作,除非鬧經濟危機所有公司都裁員的時候。這個工作如果能把需要學的都完全搞明白了,其實勞動量也就是算一下電路參數,做個仿真,指導一下版圖,屬于所有崗位里最輕松的,不過想能搞明白電路各種參量的關系,也絕非易事。

畫模擬版圖的貌似跟電路設計正好相反,很多新招聘的版圖員甚至不知道啥是三極管,然后被培訓幾天后就練習抄版圖,然后熟練了逐漸自己設計。這個職位基本只要明白各層次之間關系,不是色盲,手腳夠快,基本就能很快上手。不過這個職位也是所有職位里邊最辛苦的,要看著花花綠綠的顯示器不停的調整各個線條,而且版圖設計時間壓力一般也很大。一些私營公司的畫圖小妹甚至會被老板罵哭。這個職位可以說是最有屌絲氣息的一崗位,當然如果熬出來收入也還不錯,只是這個活計實在太費眼。

現在大部分電路都是數模混合,全芯片整合用數字設計流程,所以很多模擬電路設計都是設計模塊,然后集成進芯片,由于模擬部分尚且沒有標準的驗證流程,也不能像數字電路那樣放進fpga先跑跑看,而且模擬電路的測試設計也沒有明確規范,所以集成在一起的芯片大部分問題是由于模擬電路部分。相信隨著設計方法的改善與分工的細化,模擬電路方向會有更復雜的分工。

再來說說做數字后端版圖的大概情況

Job Description:
· Interface with IC Design/Verification team (timing and power constraints definition)
· Writing, running, optimization of logic and physical synthesis scripts
· In-depth knowledge of STA.Ablility to handle timing analysis for multiple modes and corners
· Physical design Floor planning, place & route, clock tree synthesis, routing cleanup
· Power IR & EM analysis
· Parasitic extraction/SPEF/SDF generation
· Physical Verification (DRC, ERC, LVS, ANTENNA)
· Deep understanding of DSM effects (sub 65 nm experience preferred)

Requirements:
· Masters/Bachelor’s Degree in Electrical/Electronics Engineering or in related field
· Tool skills:
· Synopsys Design Compiler
· PERL, TCL languages
· Prime Time and constraint creation/modification
· IR analysis tool such as PrimeRail, Redhawk
· Synopsys ICC experience preferred
· Calibre
· Ability to speak and write English is a must, CET 6
· Self-motivated team player and able to work with minimum supervision
· Minimum 3 years of physical design and timing closure experience
· Willingness to take overseas business trip

以上是一個數字版圖工程師的基本要求,現在大芯片后端綜合基本都用ICC,也有用SOC encounter的,版圖嚴重基本都是Calibre 這個工作除了要求熟練使用工具,掌握底層電路原理外,讀懂工藝文件,很需要一些耐心與細致的性格,因為一般自動生成的版圖未必能滿足所有時序要求,而且會有一些drc錯誤,有時為了特殊目的也會做一些eco,這個就需要手工對版圖進行一些編輯。面對滿眼的連線,要逐一修改切保證沒有失誤,是對體力與腦力的雙重考驗。對這個工作崗位的要求其實也蠻高,不過由于其中一些雜活很耗費體力,所以一般公司也會找新人幫忙做后端的打雜工,然后逐漸學習成長。由于此類工具license基本是整個ic設計環節中最貴的,所以能有機會做后端綜合的人不太多,當然開的工資相對于邏輯設計也就屬于比較高的,這就相對于飛行員的工資比卡車司機高一樣。

當然一般做后端設計的除了某些公司招聘的應屆生逐漸上手的,還有一些是做手工版圖的后來轉行干這個,因為這個職位相對于全手工畫圖,工作量還是小一些的,而且聽上去更高級一點。一旦開始做這個東西,基本就沒有什么其他相關職位可以轉行去干了,做資深工程師是唯一選擇。

再說說仿真驗證工程師的要求

Job Description:
Create verification plans for both block level and SoC level verification
Create testbenches in SystemVerilog with OVM/UVM
Utilize advanced verification techniques
Write tools and scripts in Perl and other script languages to enhance the verification process

Qualifications:
Experience with SystemVerilog and OVM/UVM
Experience with one or more simulators from the major EDA suppliers (Cadence, Mentor or Synopsys)
Experience with standard IP blocks and protocols such as Ethernet, TCP/IP, IPSec, iSCSI, DDR3, PCIe
Experience with advanced verification techniques like constrained random generation, functional coverage, assertions and formal verifiers
Experience with tools for regression management, configuration management and bug tracking
Good software skills in object oriented programming (OOP), C, C++, Perl, csh
Good problem solving
BS, MS or PhD in computer science or engineering

很久以前做數字電路的是沒有專門的驗證工程師的,甚至現在小點的公司,這個任務也由做數字邏輯的兼任。不過現在大部分項目都是整合ip,驗證的工作量反而更大一些,所以專門分離出來這個崗位。現在主流趨勢都是用SV的UVM,不過也有很多繼承之前項目的要用specman,當然也有繼續用verilog寫驗證平臺的,整體來說這個工作更適合之前習慣寫C++的人來做,對于習慣了RTL代碼的人,需要些時間接受這些以前專門用在軟件開發方面的思維方式。這個工作主要是設計驗證平臺,驗證用列并協同邏輯設計人員查找錯誤。很多公司新招的畢業生都會先做幾天驗證測試,跑跑仿真,這說明這個工作是門檻比較低的,但是這個門檻低僅針對開發驗證用列,設計一個高效方便的驗證平臺并不是很簡單的事情,很多公司仍然沿用Verilog編寫的驗證環境,估計主要因為找不到人能搭建一個基于新方法學有效的驗證環境。這個工作估計是電路設計崗位里邊最接近碼農的,當然也是需求人數最多的。這個崗位所開的工資,從畢業生的6,7k到大忽悠的20k以上,都是可能的,當然這個工作做成了領導,手下的人也是最多的。

電路公司里邊其他職位,基本都是跟軟件或者整個系統相關了,這里就先介紹這些吧。對于其他的,如果有人比較了解,歡迎補充。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 模擬電路
    +關注

    關注

    125

    文章

    1575

    瀏覽量

    102923
  • 工程師
    +關注

    關注

    59

    文章

    1573

    瀏覽量

    68667
  • 數字電路
    +關注

    關注

    193

    文章

    1629

    瀏覽量

    80851
收藏 人收藏

    評論

    相關推薦

    數字電路編程語言介紹

    數字電路編程語言是專門為描述和模擬數字電路而設計的編程語言。它們通常具有以下特點: 硬件描述語言(HDL) :大多數數字電路編程語言都是硬件描述語言,如VHDL和Verilog。這些語
    的頭像 發表于 01-24 09:39 ?179次閱讀

    數字電路模擬電路的區別

    在電子工程領域,數字電路模擬電路是兩種截然不同的技術。它們在處理信號、設計方法、應用領域以及性能特點上有著明顯的差異。 一、信號處理方式 1.1
    的頭像 發表于 01-24 09:36 ?189次閱讀

    模擬電路數字電路的區別

    在現代電子技術中,模擬電路數字電路是兩種截然不同的電路類型,它們各自有著獨特的特點和應用場景。 一、信號處理方式 模擬
    的頭像 發表于 01-24 09:22 ?211次閱讀

    如何使用 Verilog 進行數字電路設計

    首先,需要清楚地了解數字電路需要實現什么功能。這可能包括輸入輸出的數量、數據寬度、時鐘頻率、時序要求等。明確的需求是設計成功的關鍵。 2. 設計邏輯電路 在明確了需求之后,
    的頭像 發表于 12-17 09:47 ?389次閱讀

    高頻電路數字電路模擬電路是什么

    在電子技術領域中,電路的類型多種多樣,其中高 頻電路數字電路模擬電路 是最為常見的三種類型。它們各自具有獨特的特點和功能,在現代電子設備
    的頭像 發表于 09-24 10:44 ?662次閱讀

    高頻電路數字電路模擬電路介紹

    在電子技術的廣闊領域中,不同類型的電路扮演著各自獨特的角色,以滿足各種應用需求。其中,高頻電路數字電路模擬電路是三種主要的
    的頭像 發表于 09-20 16:27 ?1011次閱讀

    模擬電路數字電路的概念是什么

    模擬電路數字電路是電子工程領域中兩個非常重要的概念,它們在電子系統的設計和實現中扮演著關鍵的角色。 模擬
    的頭像 發表于 08-22 16:42 ?1533次閱讀

    數字電路可以處理模擬信號嗎

    數字電路主要處理數字信號,即離散的、二進制的信號。然而,在某些情況下,數字電路也可以處理模擬信號,即連續的、非二進制的信號。 數字電路
    的頭像 發表于 08-11 11:08 ?796次閱讀

    數字電路是對什么信號進行傳輸的

    數字電路是一種電子系統,它使用數字信號進行信息傳輸和處理。數字信號是由離散的電壓水平或電流水平表示的信號,通常用二進制代碼表示。與模擬電路
    的頭像 發表于 08-11 11:00 ?960次閱讀

    數字電路模擬電路的區別與聯系

    數字電路模擬電路是電子電路的兩個主要分支,它們在電子技術中具有不同的應用和工作原理。本文將詳細討論數字電路
    的頭像 發表于 04-21 10:29 ?3178次閱讀

    什么是電路仿真 數字電路仿真軟件哪個好用

    電路仿真是指借助計算機軟件模擬電路的工作原理和性能的過程。通過電路仿真,可以在不實際進行硬件搭建的情況下,預測和分析
    的頭像 發表于 04-21 10:26 ?3911次閱讀

    數字電路仿真元件符號是什么

    數字電路仿真元件通常用符號來表示。這些符號是通過簡潔和易于理解的圖形來表示元件的特性和功能。符號是數字電路設計和仿真過程中非常重要的一部分,幫助工程師和設計者有效地溝通和理解電路的功能
    的頭像 發表于 04-21 09:20 ?2559次閱讀

    全面解析數字電路基礎知識

    模擬電路:用連續的模擬電壓 / 電流值來表示信息 數字電路:用一個離散的電壓序列來表示信息
    發表于 03-13 14:08 ?2639次閱讀
    全面解析<b class='flag-5'>數字電路</b>基礎知識

    數字電路與邏輯設計

    電子發燒友網站提供《數字電路與邏輯設計.ppt》資料免費下載
    發表于 03-11 09:21 ?9次下載

    模擬電路數字電路到底有什么區別?

    模擬電路數字電路是電子工程中兩個重要的電路系統。它們在現代科技中扮演著至關重要的角色。數電由模電發展而來,因此它們之間雖有區別但也有緊密的
    的頭像 發表于 03-05 08:20 ?1680次閱讀
    <b class='flag-5'>模擬</b><b class='flag-5'>電路</b>和<b class='flag-5'>數字電路</b>到底有什么區別?
    主站蜘蛛池模板: 亚洲欧美视频在线 | 天天操天天干天天拍 | 色综网| 99久久99久久精品国产 | 手机在线看片国产 | 亚洲四虎影院 | 亚洲午夜在线观看 | 天天夜天干天天爽 | 男女视频在线观看 | 久久99热国产这有精品 | 91视频免费网站 | 日韩欧美亚洲综合一区二区 | 亚洲国产片 | 欧美精品 在线播放 | 加勒比黑人喝羽月希奶水 | 天天射天天干 | 超级碰碰青草久热国产 | 黄色免费大全 | 免费视频观看 | 国产精品午夜自在在线精品 | 豆国产97在线 | 欧洲 | 欧美xxxx性特级高清 | 亚洲一区免费观看 | 97影院午夜在线观看视频 | 一级片黄色免费 | 国产精品久久精品牛牛影视 | 狠狠狠狼鲁欧美综合网免费 | 国产一区中文字幕 | 国产精品丝袜 | 亚洲综人网 | 在线天堂网www资源种子 | 51国产午夜精品免费视频 | 一区二区三区网站 | 国内精品 第一页 | 欧美性野久久久久久久久 | 一区二区三 | 日韩毛片高清在线看 | 中文字幕亚洲一区二区v@在线 | 免费能直接在线观看黄的视频 | 激情婷婷在线 | 中文字幕第8页 |