全球第一大芯片自動化設計解決方案提供商及全球第一大芯片接口IP供應商、信息安全和軟件質量的全球領導者新思科技(Synopsys, Inc.,納斯達克股票市場代碼: SNPS )近日宣布,新思科技Design Platform Fusion 技術已通過三星認證,可應用于其7納米(nm)低功耗+(LPP-Low Power Plus)工藝的極紫外(EUV)光刻技術。新思科技Design Platform為基于EUV單次曝光布線和連排打孔提供完備的全流程7LPP支持,以確保最大程度地實現設計的可布線性和利用率,同時最大限度地降低電壓降(IR-drop)。新思科技的SiliconSmart? 庫表征工具對于研發在該認證工藝上建立參考流程所使用的基礎IP非常關鍵。三星已經認證了新思科技 Design Platform工具和參考流程,該流程與Lynx Design System兼容,配備用于自動化和設計最佳實踐的腳本。該參考流程可通過三星Advanced Foundry Ecosystem (SAFE?) 計劃獲得。
三星電子代工市場營銷團隊副總裁Ryan Sanghyun Lee表示:“通過與新思科技的深入合作,我們7LPP工藝上的認證和參考流程將為我們共同的客戶在設計上實現最低功耗、最佳性能和最優面積。使用經過驗證并集成了Fusion技術的新思科技 Design Platform,我們的代工客戶可以放心地使用新思科技最先進的EUV工藝量產他們的設計。”
新思科技設計事業部營銷與商務開發副總裁Michael Jackson表示:“我們與三星的工具和參考流程合作重點在于使設計人員能夠使用三星最新的EUV 7LPP工藝在最高可信度下獲得最佳結果質量。采用集成了Fusion技術的新思科技Design Platform,可擴展7LPP參考流程將使設計人員能夠輕松實現他們期望的設計和時間目標。”
基于ARMv8架構的64位Arm Cortex-A53處理器被用于結果質量(QoR)優化和流程認證。新思科技Design Platform 7LPP參考流程的關鍵工具和功能包括:
IC Compiler II布局和布線:基于EUV單次曝光的布線具備優化的7LPP設計規則支持,以及連排打孔以確保最大的設計可布線性和利用率,同時最大限度地減少電壓降。
Design Compiler Graphical RTL綜合:與布局布線結果的相關性,擁塞減少,優化的7LPP設計規則支持以及向IC Compiler II提供物理指導 。
IC Validator物理signoff:高性能DRC signoff,LVS感知型短路查找器、signoff填充、模式匹配和獨特的采用Explorer技術的Dirty Data分析,以及帶有DRC自動修復的設計內驗證和在IC Compiler II中的準確感知時序的金屬填充。
PrimeTime時序signoff:近閾值超低電壓變化建模,過孔變化建模和感知布局規則的工程變更指令(ECO)指導。
StarRC?寄生參數提取:EUV基于單次曝光模式的布線支持,以及新的提取技術,如基于覆蓋率的過孔電阻。
RedHawk?Analysis Fusion:ANSYS? RedHawk?驅動的在IC Compiler II中的EM/IR分析和優化,包括過孔插入和電網增幅。
DFTMAX?和TetraMAX? II測試:基于FinFET、單元感知和基于時序裕量的轉換測試以獲得更高的測試質量。
Formality?形式驗證:基于UPF、帶狀態轉換驗證的等價性檢查。
目前可通過SAFE?計劃獲得與新思科技Lynx Design System兼容并經認證的可擴展參考流程。Lynx Design System是一個全芯片設計環境,包含創新的自動化和報告功能,可幫助設計人員實施和監控其設計。它包括一個生產化RTL-to-GDSII流程,可簡化和自動化許多關鍵的設計實現和驗證任務,使工程師能夠專注于實現性能和設計目標。SAFE?計劃提供由三星認證支持并經廣泛測試的工藝設計套件(PDK)和參考流程(與設計方法)。
-
三星電子
+關注
關注
34文章
15875瀏覽量
181338 -
新思科技
+關注
關注
5文章
808瀏覽量
50424 -
光刻技術
+關注
關注
1文章
146瀏覽量
15897
發布評論請先 登錄
相關推薦
PHY6236藍牙5.4超低功耗高性能無線通信SOC 智能物流管理應用
鴻蒙原生頁面高性能解決方案上線OpenHarmony社區 助力打造高性能原生應用
二極管實現高電壓和大電流轉換的同時降低功耗并提高可靠性
![二極管實現高電壓和大電流轉換的同時<b class='flag-5'>降低功耗</b><b class='flag-5'>并提高</b>可靠性](https://file1.elecfans.com//web2/M00/04/94/wKgZombQHqeAMvVfAAIvBl1mLKA235.png)
三星電機向AMD供應高性能FCBGA基板
概倫電子NanoSpice通過三星代工廠3/4nm工藝技術認證
三星展望2027年:1.4nm工藝與先進供電技術登場
三星與新思科技攜手,備戰2nm工藝量產
三星加強半導體封裝技術聯盟,以縮小與臺積電差距
三星電子采納新思科技Synopsys.ai EDA套件,完成GAA制程驗證
低功耗 高性能M0芯片亮點(1~3): 低功耗 寬電壓 PWM (無須擔心耗電問題!)
三星顯示CEO:中韓OLED顯示器企業技術差距僅1年
新思科技攜手英特爾加速Intel 18A工藝下高性能芯片設計
新思科技VC LP Advanced靜態低功耗驗證解決方案
![新<b class='flag-5'>思科</b>技VC LP Advanced靜態<b class='flag-5'>低功耗</b>驗證解決方案](https://file1.elecfans.com/web2/M00/C1/76/wKgaomXWqn6ANSKwAAAnJwU0GIY025.png)
評論