在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

新思科技Fusion技術助力三星7LPP EUV工藝降低功耗、縮小面積并提高性能

章鷹觀察 ? 來源:電子發燒友 ? 作者:廠商供稿 ? 2018-07-05 14:15 ? 次閱讀

全球第一大芯片自動化設計解決方案提供商及全球第一大芯片接口IP供應商、信息安全和軟件質量的全球領導者新思科技(Synopsys, Inc.,納斯達克股票市場代碼: SNPS )近日宣布,新思科技Design Platform Fusion 技術已通過三星認證,可應用于其7納米(nm)低功耗+(LPP-Low Power Plus)工藝的極紫外(EUV)光刻技術。新思科技Design Platform為基于EUV單次曝光布線和連排打孔提供完備的全流程7LPP支持,以確保最大程度地實現設計的可布線性和利用率,同時最大限度地降低電壓降(IR-drop)。新思科技的SiliconSmart? 庫表征工具對于研發在該認證工藝上建立參考流程所使用的基礎IP非常關鍵。三星已經認證了新思科技 Design Platform工具和參考流程,該流程與Lynx Design System兼容,配備用于自動化和設計最佳實踐的腳本。該參考流程可通過三星Advanced Foundry Ecosystem (SAFE?) 計劃獲得。

三星電子代工市場營銷團隊副總裁Ryan Sanghyun Lee表示:“通過與新思科技的深入合作,我們7LPP工藝上的認證和參考流程將為我們共同的客戶在設計上實現最低功耗、最佳性能和最優面積。使用經過驗證并集成了Fusion技術的新思科技 Design Platform,我們的代工客戶可以放心地使用新思科技最先進的EUV工藝量產他們的設計。”

新思科技設計事業部營銷與商務開發副總裁Michael Jackson表示:“我們與三星的工具和參考流程合作重點在于使設計人員能夠使用三星最新的EUV 7LPP工藝在最高可信度下獲得最佳結果質量。采用集成了Fusion技術的新思科技Design Platform,可擴展7LPP參考流程將使設計人員能夠輕松實現他們期望的設計和時間目標。”

基于ARMv8架構的64位Arm Cortex-A53處理器被用于結果質量(QoR)優化和流程認證。新思科技Design Platform 7LPP參考流程的關鍵工具和功能包括:

IC Compiler II布局和布線:基于EUV單次曝光的布線具備優化的7LPP設計規則支持,以及連排打孔以確保最大的設計可布線性和利用率,同時最大限度地減少電壓降。

Design Compiler Graphical RTL綜合:與布局布線結果的相關性,擁塞減少,優化的7LPP設計規則支持以及向IC Compiler II提供物理指導 。

IC Validator物理signoff:高性能DRC signoff,LVS感知型短路查找器、signoff填充、模式匹配和獨特的采用Explorer技術的Dirty Data分析,以及帶有DRC自動修復的設計內驗證和在IC Compiler II中的準確感知時序的金屬填充。

PrimeTime時序signoff:近閾值超低電壓變化建模,過孔變化建模和感知布局規則的工程變更指令(ECO)指導。

StarRC?寄生參數提取:EUV基于單次曝光模式的布線支持,以及新的提取技術,如基于覆蓋率的過孔電阻

RedHawk?Analysis Fusion:ANSYS? RedHawk?驅動的在IC Compiler II中的EM/IR分析和優化,包括過孔插入和電網增幅。

DFTMAX?和TetraMAX? II測試:基于FinFET、單元感知和基于時序裕量的轉換測試以獲得更高的測試質量。

Formality?形式驗證:基于UPF、帶狀態轉換驗證的等價性檢查。

目前可通過SAFE?計劃獲得與新思科技Lynx Design System兼容并經認證的可擴展參考流程。Lynx Design System是一個全芯片設計環境,包含創新的自動化和報告功能,可幫助設計人員實施和監控其設計。它包括一個生產化RTL-to-GDSII流程,可簡化和自動化許多關鍵的設計實現和驗證任務,使工程師能夠專注于實現性能和設計目標。SAFE?計劃提供由三星認證支持并經廣泛測試的工藝設計套件(PDK)和參考流程(與設計方法)。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 三星電子
    +關注

    關注

    34

    文章

    15875

    瀏覽量

    181338
  • 新思科技
    +關注

    關注

    5

    文章

    808

    瀏覽量

    50424
  • 光刻技術
    +關注

    關注

    1

    文章

    146

    瀏覽量

    15897
收藏 人收藏

    評論

    相關推薦

    PHY6236藍牙5.4超低功耗高性能無線通信SOC 智能物流管理應用

    倉儲物流場景,能夠實現貨物的實時動態有序管理,提高物資流轉速度和準確性? PHY6236超低功耗高性能藍牙無線通信系統級芯片,集成高性能32位 MCU,具有8KB保留SRAM、80KB
    發表于 01-23 16:44

    鴻蒙原生頁面高性能解決方案上線OpenHarmony社區 助力打造高性能原生應用

    隨著HarmonyOS NEXT的正式推出,鴻蒙原生應用開發熱度高漲,數量激增。但在方應用鴻蒙化進程中,性能問題頻出。為此,HarmonyOS NEXT推出了一整套原生頁面高性能解決方案,包括
    發表于 01-02 18:00

    二極管實現高電壓和大電流轉換的同時降低功耗并提高可靠性

    IGBT和1200V D3 EliteSiC二極管,實現高電壓和大電流轉換的同時降低功耗并提高可靠性。FS7 IGBT關斷損耗低,可將開關損耗降低達8%,而EliteSiC二極管則提供
    的頭像 發表于 08-29 15:09 ?354次閱讀
    二極管實現高電壓和大電流轉換的同時<b class='flag-5'>降低功耗</b><b class='flag-5'>并提高</b>可靠性

    三星電機向AMD供應高性能FCBGA基板

    在全球數字化轉型的浪潮中,超大規模數據中心作為支撐云計算、大數據及人工智能等關鍵技術的基石,正以前所未有的速度發展。為了滿足這一領域對更高性能、更低延遲及更高可靠性的需求,三星電子旗下的三星
    的頭像 發表于 07-22 15:47 ?609次閱讀

    概倫電子NanoSpice通過三星代工廠3/4nm工藝技術認證

    概倫電子(股票代碼:688206.SH)近日宣布其新一代大容量、高性能并行SPICE仿真器NanoSpice通過三星代工廠3/4nm工藝技術認證,滿足雙方共同客戶對高精度、大容量和高性能
    的頭像 發表于 06-26 09:49 ?722次閱讀

    三星展望2027年:1.4nm工藝與先進供電技術登場

    在半導體技術的競技場上,三星正全力沖刺,準備在2027年推出一系列令人矚目的創新。近日,三星晶圓代工部門在三星代工論壇上公布了其未來幾年的技術
    的頭像 發表于 06-21 09:30 ?460次閱讀

    三星與新思科技攜手,備戰2nm工藝量產

    在全球半導體行業邁向更高精度和更小尺寸的征途上,三星與新思科技近日宣布了一項重要的合作。這一合作旨在確保三星的2nm制造工藝能夠順利實現量產,并在市場中占據領先地位。
    的頭像 發表于 06-20 09:22 ?538次閱讀

    三星公布最新工藝路線圖

    : 1. **新節點和技術進展**:三星宣布了兩個新的尖端節點——SF2Z 和 SF4U。SF2Z 是一種2nm工藝,采用背面電源輸送網絡(BSPDN)技術,這種
    的頭像 發表于 06-17 15:33 ?444次閱讀
    <b class='flag-5'>三星</b>公布最新<b class='flag-5'>工藝</b>路線圖

    三星加強半導體封裝技術聯盟,以縮小與臺積電差距

    據最新報道,三星電子正積極加強其在半導體封裝技術領域的聯盟建設,旨在縮小與全球半導體制造巨頭臺積電之間的技術差距。為實現這一目標,三星預計將
    的頭像 發表于 06-11 09:32 ?608次閱讀

    三星電子采納新思科技Synopsys.ai EDA套件,完成GAA制程驗證

    據新思科技介紹,他們的 Synopsys.ai EDA 套件專為 CPU 高效運行而設計,為三星的 GAA 節點帶來了卓越的 PPA(性能功耗
    的頭像 發表于 05-06 11:23 ?442次閱讀

    低功耗 高性能M0芯片亮點(1~3): 低功耗 寬電壓 PWM (無須擔心耗電問題!)

    低功耗高性能M0芯片亮點(1~3): 低功耗, 寬電壓, PWM [url=https://www.bilibili.com/video/BV18K421v7Bw/][/url]
    發表于 03-15 16:53

    三星顯示CEO:中韓OLED顯示器企業技術差距僅1年

    三星Display社長崔周善表示:“與中國顯示器企業的技術差距縮小到1年或1年半。為了維持技術超差距,有必要聚集人才,提高研發的效率。”
    的頭像 發表于 03-08 14:54 ?1187次閱讀

    思科技攜手英特爾加速Intel 18A工藝高性能芯片設計

    思科技數字和模擬 EDA 流程經過認證和優化,針對Intel 18A工藝實現功耗性能面積目標
    的頭像 發表于 03-05 17:23 ?584次閱讀

    思科技VC LP Advanced靜態低功耗驗證解決方案

    對于當今的移動高性能系統而言,低功耗設計至關重要。低功耗設計能夠延長電池續航時間、降低能源成本,為消費者打造更出色的無縫體驗,具有更好的可持續性。
    的頭像 發表于 02-22 09:56 ?912次閱讀
    新<b class='flag-5'>思科</b>技VC LP Advanced靜態<b class='flag-5'>低功耗</b>驗證解決方案

    三星與Arm攜手,運用GAA工藝技術提升下一代Cortex-X CPU性能

    三星繼續推進工藝技術的進步,近年來首次量產了基于2022年GAA技術的3nm MBCFET ? 。GAA技術不僅能夠大幅減小設備尺寸,降低
    的頭像 發表于 02-22 09:36 ?736次閱讀
    主站蜘蛛池模板: 办公室桌震娇喘视频大全在线 | 奇米7777| 中国一级特黄真人毛片免费看 | 日本高清视频一区 | 亚洲精品网站日本xxxxxxx | 成人夜色视频网站在线观看 | 在线成人精品国产区免费 | 一区二区三区四区视频 | 国模精品| q2002韩国理论 | 亚洲成人伊人网 | 可以免费观看的黄色网址 | 免费观看做网站爱 | 久碰香蕉精品视频在线观看 | 性夜黄a爽影免费看 | 国产精品好好热在线观看 | 400部大量精品情侣网站 | 黄色综合 | 好大好硬好深好爽的视频 | 成年网站在线播放 | 97人摸人人澡人人人超一碰 | 亚洲免费在线观看视频 | 国产精品波多野结衣 | 手机看片国产免费永久 | 亚洲va国产日韩欧美精品色婷婷 | 亚洲精品视频区 | 免费人成网ww44kk44 | 亚欧成人中文字幕一区 | 美女艹逼视频 | 一区二区不卡视频在线观看 | 国产婷婷 | 国产精品漂亮美女在线观看 | 色偷偷免费 | 九九九色| 56pao强力打造 | 日本加勒比在线播放 | 中文字幕日本一区波多野不卡 | 中文字幕一区二区三区有限公司 | 国产精品视频久久久 | 亚洲 欧美 另类 吹潮 | 永久免费看毛片 |