在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA約束中的Tcl指令技術(shù)探討

454398 ? 來(lái)源: 科學(xué)計(jì)算Tech ? 作者:貓叔 ? 2020-09-26 11:35 ? 次閱讀

作者:貓叔 科學(xué)計(jì)算Tech微信公眾號(hào)

?在前面的章節(jié)中,我們用了很多Tcl的指令,但有些指令并沒(méi)有把所有的參數(shù)多列出來(lái)解釋,這一節(jié),我們就把約束中的Tcl指令詳細(xì)講一下。

我們前面講到過(guò)get_pins和get_ports的區(qū)別,而且我們也用過(guò)get_cells、get_clocks和get_nets這幾個(gè)指令,下面就通過(guò)一張圖直觀展現(xiàn)它們的區(qū)別。

get_clocks后面的對(duì)象是我們之前通過(guò)create_clocks或者create_generated_clocks創(chuàng)建的時(shí)鐘,不在硬件上直接映射。

我們?cè)賮?lái)看下各個(gè)命令的屬性。

1. port
我們可以通過(guò)Tcl腳本查看port的所有屬性,比如上面的wave_gen工程中,有一個(gè)port是clk_pin_p,采用如下腳本:

set inst [get_ports clk_pin_p]
report_property $inst

顯示如下:

get_ports的使用方法如下:

# 獲取所有端口
get_ports *

# 獲取名稱中包含data的端口
get_ports *data*

# 獲取所有輸出端口
get_ports -filter {DIRECTION == OUT}

# 獲取所有輸入端口
all_inputs

# 獲取輸入端口中名字包含data的端口
get_ports -filter {DIRECTION == IN} *data*

# 獲取總線端口
get_ports -filter {BUS_NAME != ""}

2. cell
按照上面的同樣的方式,獲取cell的property,如下:

get_cells的使用方法如下:

# 獲取頂層模塊
get_cells *

# 獲取名稱中包含字符gen的模塊
get_cells *gen*

# 獲取clk_gen_i0下的所有模塊
get_cells clk_gen_i0/*

# 獲取觸發(fā)器為FDRE類型且名稱中包含字符samp
get_cells -hier filter {REF_NAME == FDRE} *samp*

# 獲取所有的時(shí)序單元邏輯
get_cells -hier -filter {IS_SEQUENTIAL == 1}

# 獲取模塊uart_rx_i0下兩層的LUT3
get_cells -filter {REF_NAME == LUT3} *uart_tx_i0/*/*

3. pin
獲取pin的property,如下:

get_pins的使用方法如下:

# 獲取所有pins
get_pins *

# 獲取名稱中包含字符led的引腳
get_pins -hier -filter {NAME =~ *led*}

# 獲取REF_PIN_NAME為led的引腳
get_pins -hier -filter {REF_PIN_NAME == led}

# 獲取時(shí)鐘引腳
get_pins -hier -filter {IS_CLOCK == 1}

# 獲取名稱中包含cmd_parse_i0的使能引腳
get_pins -filter {IS_ENABLE == 1} cmd_parse_i0/*/*

# 獲取名稱中包含字符cmd_parse_i0且為輸入的引腳
get_pins -filter {DIRECTION == IN} cmd_parse_i0/*/*

4. net
獲取pin的property,如下:

get_nets的使用方法如下:

# 獲取所有nets
get_nets *

# 獲取名稱中包含字符send_resp_val的網(wǎng)線
get_nets -hier *send_resp_val*
get_nets -filter {NAME =~ *send_resp_val*} -hier

# 獲取穿過(guò)邊界的同一網(wǎng)線的所有部分
get_nets {resp_gen_i0/data4[0]} -segments

# 獲取模塊cmd_parse_i0下的所有網(wǎng)線
get_nets -filter {PARENT_CELL == cmd_parse_i0} -hier

# 獲取模塊cmd_parse_i0下的名稱中包含字符arg_cnt[]的網(wǎng)線
get_nets -filter {PARENT_CELL == cmd_parse_i0} -hier *arg_cnt[*]

這5個(gè)tcl指令的常用選項(xiàng)如下表:

?這5個(gè)Tcl命令對(duì)應(yīng)的5個(gè)對(duì)象之間也有著密切的關(guān)系,下圖所示的箭頭的方向表示已知箭頭末端對(duì)象可獲取箭頭指向的對(duì)象。

以wave_gen中的clk_gen_i0模塊為例來(lái)說(shuō)明上面的操作:

# 獲取模塊的輸入引腳
get_pins -of [get_cells {clk_gen_i0/clk_core_i0}] -filter {DIRECTION == IN}

# 已知引腳名獲取所在模塊
get_cells -of [get_pins clk_gen_i0/clk_core_i0/clk_in1_n]

# 已知模塊名獲取與該模塊相連的網(wǎng)線
get_nets -of [get_cells {clk_gen_i0/clk_core_i0}]

# 已知引腳名獲取與該引腳相連的網(wǎng)線
get_nets -of [get_pins clk_gen_i0/clk_core_i0/clk_rx]

# 已知時(shí)鐘引腳獲取時(shí)鐘引腳對(duì)應(yīng)的時(shí)鐘
get_clocks -of [get_pins clk_gen_i0/clk_core_i0/clk_rx]

需要注意的是:

1. -hier不能和層次分隔符“/”同時(shí)使用,但“/”可出現(xiàn)在-filter中

2. 可根據(jù)屬性過(guò)濾查找目標(biāo)對(duì)象

3. -filter中的屬性為:“==”(相等)、“!=”(不相等)、"=~"(匹配)、"!~"(不匹配),若有多個(gè)表達(dá)式,其返回值為bool類型時(shí),支持邏輯操作(&& ||)

編輯:hfy
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1643

    文章

    21979

    瀏覽量

    614493
  • TCL
    TCL
    +關(guān)注

    關(guān)注

    11

    文章

    1775

    瀏覽量

    89660
  • 時(shí)序約束
    +關(guān)注

    關(guān)注

    1

    文章

    118

    瀏覽量

    13634
收藏 人收藏

    評(píng)論

    相關(guān)推薦
    熱點(diǎn)推薦

    PCB Layout 約束管理,助力優(yōu)化設(shè)計(jì)

    本文重點(diǎn)PCBlayout約束管理在設(shè)計(jì)的重要性Layout約束有助避免一些設(shè)計(jì)問(wèn)題設(shè)計(jì)可以使用的不同約束在PCB設(shè)計(jì)規(guī)則和
    的頭像 發(fā)表于 05-16 13:02 ?272次閱讀
    PCB Layout <b class='flag-5'>約束</b>管理,助力優(yōu)化設(shè)計(jì)

    電機(jī)繞組滾動(dòng)烘干爐防爆安全技術(shù)探討

    電機(jī)繞組滾動(dòng)烘干工藝在電機(jī)行業(yè)逐步推廣應(yīng)用,但該防爆滾動(dòng)烘干設(shè)備的制造卻仍沒(méi)有統(tǒng)一的標(biāo)準(zhǔn),這就為設(shè)備的制造、使用、維修、保養(yǎng)埋下了隱患。文章主要探討了防爆滾動(dòng)烘干爐的防爆技術(shù),以期引起同行對(duì)防爆
    發(fā)表于 04-27 19:28

    FPGA時(shí)序約束之設(shè)置時(shí)鐘組

    Vivado時(shí)序分析工具默認(rèn)會(huì)分析設(shè)計(jì)中所有時(shí)鐘相關(guān)的時(shí)序路徑,除非時(shí)序約束設(shè)置了時(shí)鐘組或false路徑。使用set_clock_groups命令可以使時(shí)序分析工具不分析時(shí)鐘組時(shí)
    的頭像 發(fā)表于 04-23 09:50 ?314次閱讀
    <b class='flag-5'>FPGA</b>時(shí)序<b class='flag-5'>約束</b>之設(shè)置時(shí)鐘組

    一文詳解Vivado時(shí)序約束

    Vivado的時(shí)序約束是保存在xdc文件,添加或創(chuàng)建設(shè)計(jì)的工程源文件后,需要?jiǎng)?chuàng)建xdc文件設(shè)置時(shí)序約束。時(shí)序約束文件可以直接創(chuàng)建或添加已存在的約束
    的頭像 發(fā)表于 03-24 09:44 ?2836次閱讀
    一文詳解Vivado時(shí)序<b class='flag-5'>約束</b>

    xilinx FPGA IOB約束使用以及注意事項(xiàng)

    xilinx FPGA IOB約束使用以及注意事項(xiàng) 一、什么是IOB約束 在xilinx FPGA,IOB是位于IO附近的寄存器,是
    的頭像 發(fā)表于 01-16 11:02 ?780次閱讀
    xilinx <b class='flag-5'>FPGA</b> IOB<b class='flag-5'>約束</b>使用以及注意事項(xiàng)

    TCL發(fā)布萬(wàn)象分區(qū)技術(shù),重塑Mini LED技術(shù)巔峰

    在Mini LED賽道上,TCL作為行業(yè)先行者,憑借其深厚的技術(shù)積累和持續(xù)的創(chuàng)新精神,再次引領(lǐng)技術(shù)革命。近日,TCL宣布對(duì)Mini LED底層技術(shù)
    的頭像 發(fā)表于 12-17 10:14 ?772次閱讀

    時(shí)序約束一主時(shí)鐘與生成時(shí)鐘

    一、主時(shí)鐘create_clock 1.1 定義 主時(shí)鐘是來(lái)自FPGA芯片外部的時(shí)鐘,通過(guò)時(shí)鐘輸入端口或高速收發(fā)器GT的輸出引腳進(jìn)入FPGA內(nèi)部。對(duì)于賽靈思7系列的器件,主時(shí)鐘必須手動(dòng)定義到GT
    的頭像 發(fā)表于 11-29 11:03 ?1278次閱讀
    時(shí)序<b class='flag-5'>約束</b>一主時(shí)鐘與生成時(shí)鐘

    TCL華星發(fā)布新技術(shù)品牌APEX

    TCL華星近日宣布了一項(xiàng)重大突破,其印刷OLED技術(shù)已實(shí)現(xiàn)量產(chǎn),并同步發(fā)布了全新的技術(shù)品牌——APEX。APEX品牌的推出,標(biāo)志著TCL華星在顯示
    的頭像 發(fā)表于 11-19 17:32 ?914次閱讀

    常用時(shí)序約束使用說(shuō)明-v1

    為了防止約束失敗,我們?cè)?b class='flag-5'>Tcl輸入框驗(yàn)證,沒(méi)有告警或者錯(cuò)誤說(shuō)明約束的寫法是正確的set_max_delay 5.00 -from [get_cells key2_detect_ins
    的頭像 發(fā)表于 11-01 11:06 ?509次閱讀

    微處理器的指令集和指令系統(tǒng)有什么不同

    微處理器的指令集和指令系統(tǒng)是兩個(gè)緊密相關(guān)但又有所區(qū)別的概念,它們?cè)谖⑻幚砥鞯脑O(shè)計(jì)和運(yùn)行扮演著不同的角色。以下是對(duì)這兩個(gè)概念的詳細(xì)解析,旨在深入探討它們之間的不同點(diǎn)。
    的頭像 發(fā)表于 10-05 14:57 ?1007次閱讀

    電路的兩類約束指的是哪兩類

    電路的兩類約束通常指的是電氣約束和物理約束。這兩類約束在電路設(shè)計(jì)和分析起著至關(guān)重要的作用。 一、電氣
    的頭像 發(fā)表于 08-25 09:34 ?1846次閱讀

    深度解析FPGA的時(shí)序約束

    建立時(shí)間和保持時(shí)間是FPGA時(shí)序約束兩個(gè)最基本的概念,同樣在芯片電路時(shí)序分析也存在。
    的頭像 發(fā)表于 08-06 11:40 ?1274次閱讀
    深度解析<b class='flag-5'>FPGA</b><b class='flag-5'>中</b>的時(shí)序<b class='flag-5'>約束</b>

    FPGA技術(shù)的主要應(yīng)用

    和靈活性,FPGA在多個(gè)領(lǐng)域得到了廣泛應(yīng)用。本文將深入探討FPGA技術(shù)的主要應(yīng)用,涵蓋通信與網(wǎng)絡(luò)、數(shù)字信號(hào)處理、汽車與航天、工業(yè)自動(dòng)化、高性能計(jì)算、智能物聯(lián)網(wǎng)等多個(gè)方面。
    的頭像 發(fā)表于 07-17 16:38 ?4576次閱讀

    FPGA 高級(jí)設(shè)計(jì):時(shí)序分析和收斂

    分析報(bào)告,從而對(duì)設(shè)計(jì)的性能做出評(píng)估。靜態(tài)時(shí)序分析工具以約束作為判斷時(shí)序是否滿足設(shè)計(jì)要求的標(biāo)準(zhǔn),因此要求設(shè)計(jì)者正確輸入約束,以便靜態(tài)時(shí)序分析工具輸 出正確的時(shí)序分析報(bào)告。 3、指定 FPGA/CPLD
    發(fā)表于 06-17 17:07

    PLCSFTL指令的用法

    在工業(yè)自動(dòng)化控制系統(tǒng),PLC(Programmable Logic Controller,可編程邏輯控制器)扮演著至關(guān)重要的角色。PLC通過(guò)執(zhí)行各種指令來(lái)控制設(shè)備的運(yùn)行,其中SFTL(Shift
    的頭像 發(fā)表于 06-15 17:48 ?4970次閱讀
    主站蜘蛛池模板: 天天久久影视色香综合网 | 欧美性白人极品1819hd高清 | 国模无水印一区二区三区 | 理论视频在线观看 | 美女国产精品 | 五月婷婷丁香在线视频 | 手机在线看片你懂得 | 四虎地址8848最新章节 | 综合欧美一区二区三区 | 91色视频网站 | 久久久婷婷| 韩国三级理论在线看中文字幕 | 五月天婷婷在线观看 | 欧美网站在线 | 一卡二卡四卡无卡乱免费网页 | 午夜欧美性欧美 | 天天干夜夜爱 | 久久99热久久精品99 | 扒开双腿爽爽爽视频www | 操天天| 日日噜噜夜夜狠狠tv视频免费 | 免看一级a毛片一片成人不卡 | 欧美色图俺去了 | 亚洲精品久久婷婷爱久久婷婷 | 狠狠色视频 | 5x性区m免费毛片视频看看 | 欧美一级特黄aaaaaa在线看首页 | 特极毛片 | 大尺度视频在线观看 | 国产主播在线播放 | 五月天综合婷婷 | 久久精品免费观看久久 | 女的扒开尿口让男人桶 | 特级aaa毛片| 新版天堂8在线天堂 | 亚洲综合色色图 | 国产色妞妞在线视频免费播放 | 深夜福利一区 | 97色在线视频 | 激情伦成人综合小说 | 欧美国产黄色 |