資料介紹
Verilog實(shí)例講解
【例 3.1】4 位全加器 module adder4(cout,sum,ina,inb,cin); output[3:0] sum; output cout; input[3:0] ina,inb; input cin; assign {cout,sum}=ina+inb+cin; endmodule
【例 3.2】4 位計(jì)數(shù)器 module count4(out,reset,clk); output[3:0] out; input reset,clk; reg[3:0] out; always @(posedge clk) begin if (reset) out《=0; //同步復(fù)位 else out《=out+1; //計(jì)數(shù) end endmodule
【例 3.3】4 位全加器的仿真程序 `timescale 1ns/1ns `include “adder4.v” module adder_tp; //測(cè)試模塊的名字 reg[3:0] a,b; //測(cè)試輸入信號(hào)定義為 reg 型 reg cin; wire[3:0] sum; //測(cè)試輸出信號(hào)定義為 wire 型 wire cout; integer i,j; adder4 adder(sum,cout,a,b,cin); //調(diào)用測(cè)試對(duì)象 always #5 cin=~cin; //設(shè)定 cin 的取值 initial begin a=0;b=0;cin=0; for(i=1;i《16;i=i+1) #10 a=i; //設(shè)定 a 的取值 end 程序文本 - 2 - initial begin for(j=1;j《16;j=j+1)#10 b=j; //設(shè)定 b 的取值 end initial //定義結(jié)果顯示格式 begin $monitor($time,,,“%d + %d + %b={%b,%d}”,a,b,cin,cout,sum); #160 $finish; end endmodule
【例 3.4】4 位計(jì)數(shù)器的仿真程序 `timescale 1ns/1ns `include “count4.v” module coun4_tp; reg clk,reset; //測(cè)試輸入信號(hào)定義為 reg 型 wire[3:0] out; //測(cè)試輸出信號(hào)定義為 wire 型 parameter DELY=100; count4 mycount(out,reset,clk); //調(diào)用測(cè)試對(duì)象 always #(DELY/2) clk = ~clk; //產(chǎn)生時(shí)鐘波形 initial begin //激勵(lì)信號(hào)定義 clk =0; reset=0; #DELY reset=1; #DELY reset=0; #(DELY*20) $finish; end //定義結(jié)果顯示格式 initial $monitor($time,,,“clk=%d reset=%d out=%d”, clk, reset,out); endmodule
- verilog的135個(gè)經(jīng)典實(shí)例 14次下載
- PLC實(shí)例講解之計(jì)數(shù)器值以二進(jìn)制輸出資源下載 22次下載
- PLC實(shí)例講解之加法指令寫流水燈資源下載 10次下載
- ProE機(jī)構(gòu)仿真基礎(chǔ)教程實(shí)例講解實(shí)踐 10次下載
- python的經(jīng)典實(shí)例相關(guān)講解 9次下載
- LED流水燈的Verilog設(shè)計(jì)實(shí)例資料合集免費(fèi)下載 20次下載
- Verilog的135個(gè)經(jīng)典設(shè)計(jì)實(shí)例程序合集免費(fèi)下載 108次下載
- FreeRTOS plus的用法實(shí)例講解 19次下載
- TIDDR3的配置流程使用實(shí)例講解 9次下載
- Verilog的135個(gè)經(jīng)典設(shè)計(jì)實(shí)例 50次下載
- Verilog語言練習(xí)與講解2 1次下載
- Verilog語言練習(xí)與講解1 2次下載
- Verilog 入門的實(shí)例代碼 20次下載
- 精品verilog實(shí)例程序代碼 46次下載
- 應(yīng)用Verilog HDL進(jìn)行數(shù)字系統(tǒng)設(shè)計(jì)實(shí)例 88次下載
- Verilog 與 ASIC 設(shè)計(jì)的關(guān)系 Verilog 代碼優(yōu)化技巧 218次閱讀
- Verilog 測(cè)試平臺(tái)設(shè)計(jì)方法 Verilog FPGA開發(fā)指南 360次閱讀
- Verilog與VHDL的比較 Verilog HDL編程技巧 259次閱讀
- 如何自動(dòng)生成verilog代碼 391次閱讀
- SOC設(shè)計(jì)中Clock Gating的基本原理與應(yīng)用講解 2392次閱讀
- 講解MATLAB/Simulink HDL使用入門 1416次閱讀
- VHDL與Verilog硬件描述語言TestBench的編寫 1779次閱讀
- 二十進(jìn)制編碼器及Verilog HDL描述 Verilog HDL程序的基本結(jié)構(gòu)及特點(diǎn) 3054次閱讀
- System Verilog的概念以及與Verilog的對(duì)比 1530次閱讀
- Verilog程序編寫規(guī)范 4005次閱讀
- Verilog的基礎(chǔ)知識(shí) 2496次閱讀
- Verilog系統(tǒng)函數(shù)和邊沿檢測(cè) 2391次閱讀
- 關(guān)于Verilog語言標(biāo)準(zhǔn)層次問題 5025次閱讀
- verilog是什么_verilog的用途和特征是什么 4.5w次閱讀
- 關(guān)于verilog的學(xué)習(xí)經(jīng)驗(yàn)簡(jiǎn)單分享 2901次閱讀
下載排行
本周
- 1QW2893應(yīng)急燈專用檢測(cè)芯片
- 590.40 KB | 1次下載 | 免費(fèi)
- 2低功耗藍(lán)牙BLE透?jìng)髂KHM-BT4531的技術(shù)規(guī)格與應(yīng)用指南
- 1.40 MB | 1次下載 | 免費(fèi)
- 3PC2570低Iq 理想二極管控制芯片中文資料
- 1.56 MB | 1次下載 | 免費(fèi)
- 4AG32VH 系列應(yīng)用指南
- 0.60 MB | 1次下載 | 免費(fèi)
- 5Claroty-2024年全球CPS安全狀況:中斷對(duì)業(yè)務(wù)的影響
- 3.70 MB | 1次下載 | 免費(fèi)
- 6stm32g070數(shù)據(jù)手冊(cè)和使用手冊(cè)
- 12.06 MB | 次下載 | 免費(fèi)
- 7FS312B USB的PD和OC快充協(xié)議電壓誘騙控制器中文手冊(cè)
- 1.35 MB | 次下載 | 免費(fèi)
- 8SY8201矽力杰高效率快速響應(yīng),1A, 27V輸入 同步降壓調(diào)節(jié)器
- 510.16 KB | 次下載 | 免費(fèi)
本月
- 1AI智能眼鏡產(chǎn)業(yè)鏈分析
- 4.43 MB | 471次下載 | 免費(fèi)
- 2蘇泊爾電磁爐線路的電路原理圖資料合集
- 2.02 MB | 300次下載 | 5 積分
- 3貼片三極管上的印字與真實(shí)名稱的對(duì)照表詳細(xì)說明
- 0.50 MB | 95次下載 | 1 積分
- 4長(zhǎng)虹液晶電視R-HS310B-5HF01的電源板電路原理圖
- 0.46 MB | 91次下載 | 5 積分
- 5涂鴉各WiFi模塊原理圖加PCB封裝
- 11.75 MB | 89次下載 | 1 積分
- 6錦銳科技CA51F2 SDK開發(fā)包
- 24.06 MB | 43次下載 | 1 積分
- 7AO4803A雙P通道增強(qiáng)型場(chǎng)效應(yīng)晶體管的數(shù)據(jù)手冊(cè)
- 0.11 MB | 28次下載 | 2 積分
- 8錦銳CA51F005 SDK開發(fā)包
- 19.47 MB | 19次下載 | 1 積分
總榜
- 1matlab軟件下載入口
- 未知 | 935127次下載 | 10 積分
- 2開源硬件-PMP21529.1-4 開關(guān)降壓/升壓雙向直流/直流轉(zhuǎn)換器 PCB layout 設(shè)計(jì)
- 1.48MB | 420064次下載 | 10 積分
- 3Altium DXP2002下載入口
- 未知 | 233089次下載 | 10 積分
- 4電路仿真軟件multisim 10.0免費(fèi)下載
- 340992 | 191388次下載 | 10 積分
- 5十天學(xué)會(huì)AVR單片機(jī)與C語言視頻教程 下載
- 158M | 183342次下載 | 10 積分
- 6labview8.5下載
- 未知 | 81588次下載 | 10 積分
- 7Keil工具M(jìn)DK-Arm免費(fèi)下載
- 0.02 MB | 73815次下載 | 10 積分
- 8LabVIEW 8.6下載
- 未知 | 65989次下載 | 10 積分
評(píng)論