在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

您好,歡迎來(lái)電子發(fā)燒友網(wǎng)! ,新用戶?[免費(fèi)注冊(cè)]

您的位置:電子發(fā)燒友網(wǎng)>源碼下載>VHDL/Verilog/EDA源碼>

VHDL源代碼

大小:69 人氣: 2010-02-09 需要積分:0
{$username}的空間

用戶級(jí)別:注冊(cè)會(huì)員

貢獻(xiàn)文章:

貢獻(xiàn)資料:

VHDL源代碼
?library ieee;????????????????????? --顯示器彩條發(fā)生器
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;


entity VGA is
?? port(clk,mode?? :in std_logic;??? --掃描時(shí)鐘/顯示模式選擇時(shí)鐘
??????? d,hs,vs,r,g,b:out std_logic);? --行,場(chǎng)同步/紅,綠,藍(lán)
end VGA;

architecture a of VGA is
??? signal hs1,vs1,fclk,cclk,divide_clk,dly:? std_logic;
??? signal mmode :std_logic_vector(1 downto 0);???? --方式選擇
??? signal cnt :std_logic_vector(2 downto 0);
??? signal fs :std_logic_vector(3 downto 0);
??? signal cc :std_logic_vector(4 downto 0);??????? --行同步/橫彩條生成
??? signal ll :std_logic_vector(8 downto 0);??????? --長(zhǎng)同步/豎彩條生成
??? signal grbh :std_logic_vector(3 downto 1);????? --X 橫彩條
??? signal grby :std_logic_vector(3 downto 1);????? --Y 豎彩條
??? signal grbx :std_logic_vector(3 downto 1);????? --文字
??? signal grbt :std_logic_vector(3 downto 1);????? --圖案
??? signal grbp :std_logic_vector(3 downto 1);?????
??? signal grb? :std_logic_vector(3 downto 1);
??? signal x :integer range 0 to 800;
??? signal x1: integer range 0 to 800;
??? signal y1: integer range 0 to 600;
??? signal x2: integer range 0 to 800;
??? signal x3: integer range 0 to 800;
??? signal x4: integer range 0 to 800;
??? signal x5: integer range 0 to 800;
??? signal x7: integer range 0 to 800;
??? signal x8: integer range 0 to 800;
??? signal x9: integer range 0 to 800;
??? signal x10: integer range 0 to 800;
??? signal x11: integer range 0 to 800;

??? signal y2: integer range 0 to 600;
??? signal y3: integer range 0 to 600;
??? signal y4: integer range 0 to 600;
??? signal y5: integer range 0 to 600;
??? signal y6: integer range 0 to 600;
??? signal c: integer range 0 to 30;

非常好我支持^.^

(7) 100%

不好我反對(duì)

(0) 0%

      發(fā)表評(píng)論

      用戶評(píng)論
      評(píng)價(jià):好評(píng)中評(píng)差評(píng)

      發(fā)表評(píng)論,獲取積分! 請(qǐng)遵守相關(guān)規(guī)定!

      ?
      主站蜘蛛池模板: 中文在线 | 中文 | 成人a大片高清在线观看 | 国产福利影视 | 四虎影院网 | 夜夜狠狠| 91男人| 欧美成人一区亚洲一区 | 99久久国产免费中文无字幕 | 午夜激情福利 | 国产激情片| 国产大片黄在线看免费 | 99久久99这里只有免费费精品 | 天天看天天爽天天摸天天添 | 欧美另类激情 | 美女被网站免费看九色视频 | 新网球王子u17世界杯篇免费观看 | 黄 色 录像成 人播放免费 | 海棠高h粗暴调教双性男男 韩国韩宝贝2020vip福利视频 | 日本在线视频一区二区 | 人人免费人人专区 | 不卡视频一区二区三区 | 四虎传媒 | 日韩一级片在线免费观看 | 国产精品美女久久久久网站 | 天天摸日日摸人人看 | www.精品视频 | 婷婷四房综合激情五月性色 | 天天舔夜夜操 | 99视频网址 | 色综合一区二区三区 | 天天色综合三 | 亚洲人毛茸茸bbxx | 国产精品视频一区国模私拍 | 天天在线天天综合网色 | 亚洲资源最新版在线观看 | 国产黄色片在线观看 | 国产老师的丝袜在线看 | 在线免费黄 | www.91在线| 嗯!啊!使劲用力在线观看 | 69xxxx欧美老师|