在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Testbench編寫文件的讀寫操作

OpenFPGA ? 來源:OpenFPGA ? 作者:OpenFPGA ? 2020-11-20 11:33 ? 次閱讀
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

Testbench編寫指南(2)文件的讀寫操作

讀取txt文件數(shù)據(jù)

將數(shù)據(jù)寫入txt文件

第2篇的題材是文件的讀寫控制,仿真時經(jīng)常需要從文件中讀取測試激勵,還要將仿真結(jié)果存取在文件中供其它程序讀取調(diào)用。

讀取txt文件數(shù)據(jù)

示例代碼如下:

integer i; //數(shù)組坐標(biāo) reg [9:0] stimulus[1:data_num]; //數(shù)組形式存儲讀出的數(shù)據(jù) initial begin $readmemb("SinIn.txt", stimulus); //將txt文件中的數(shù)據(jù)存儲在數(shù)組中 i = 0; repeat(data_num) begin //重復(fù)讀取數(shù)組中的數(shù)據(jù) i = i + 1; din = stimulus[i]; #clk_period; //每個時鐘讀取一次 end end

用“數(shù)組”來表述Verilog HDL中的定義并不準(zhǔn)確,但對大多數(shù)人來說應(yīng)該更好理解。可以將stimulus視作一個存儲器,[9:0]定義了數(shù)據(jù)的位寬,[1:data_num]定義了存儲器的深度。stimulus的定義應(yīng)該與txt文件中的數(shù)據(jù)相匹配。txt文件中每行存儲一個數(shù)據(jù),則上述定義對應(yīng)的是txt中存儲了data_num個數(shù)據(jù),每個數(shù)據(jù)的最大位寬為10bit。
??讀取二進(jìn)制格式的文件是用系統(tǒng)任務(wù)readmemb;讀取十六進(jìn)制格式文件使用readmemb;讀取十六進(jìn)制格式文件使用readmemh。其命令為$readmemb(“filename”, mem_name),將filename中的內(nèi)容讀取到mem_name中。
??注意filename文件路徑中應(yīng)該用反斜杠“/”,與windows系統(tǒng)中的文件路徑使用的“”不同。如果不指定路徑,向上面程序一樣直接寫文件名字,那么該文件必須和testbench文件在同一路徑下。
??repeat(n) begin … end中的內(nèi)容應(yīng)該根據(jù)設(shè)計的需要編寫。

將數(shù)據(jù)寫入txt文件

示例代碼如下:

integer file_out; initial begin file_out = $fopen("mixer_out.txt"); if (!file_out) begin $display("can't open file"); $finish; end end wire signed [19:0] dout_s = dout; wire rst_write = clk & rst_n; //復(fù)位期間不應(yīng)寫入數(shù)據(jù) always @ (posedge rst_write) $fdisplay(file_out, "%d", dout_s);

寫入文件需要先用$fopen系統(tǒng)任務(wù)打開文件,這個系統(tǒng)任務(wù)在打開文件的同時會清空文件,并返回一個句柄,如果句柄為0則表示打開文件失敗。
??如果原來不存在該文件,則會自動創(chuàng)建該文件。
??打開文件之后便可以用得到的句柄和KaTeX parse error: Expected 'EOF', got '&' at position 55: …printf函數(shù)的用法很像。

上面的程…fdisplay`,都會在數(shù)據(jù)后插入一個換行符。

責(zé)任編輯:lq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 存儲器
    +關(guān)注

    關(guān)注

    38

    文章

    7643

    瀏覽量

    166730
  • 函數(shù)
    +關(guān)注

    關(guān)注

    3

    文章

    4375

    瀏覽量

    64470
  • 數(shù)組
    +關(guān)注

    關(guān)注

    1

    文章

    419

    瀏覽量

    26440

原文標(biāo)題:Testbench編寫指南(2)文件的讀寫操作

文章出處:【微信號:Open_FPGA,微信公眾號:OpenFPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏
加入交流群
微信小助手二維碼

掃碼添加小助手

加入工程師交流群

    評論

    相關(guān)推薦
    熱點推薦

    testbench中如何使用阻塞賦值和非阻塞賦值

    本文詳細(xì)闡述了在一個testbench中,應(yīng)該如何使用阻塞賦值與非阻塞賦值。首先說結(jié)論,建議在testbench中,對時鐘信號(包括分頻時鐘)使用阻塞賦值,對其他同步信號使用非阻塞賦值。
    的頭像 發(fā)表于 04-15 09:34 ?598次閱讀
    在<b class='flag-5'>testbench</b>中如何使用阻塞賦值和非阻塞賦值

    【紫光同創(chuàng)盤古100Pro+開發(fā)板,MES2L676-100HP教程】盤古676系列——Modelsim的使用和do文件編寫

    :??????????????Testbench文件編寫 Testbench文件其實就是模擬信號的生成,給我們所設(shè)計的模塊提供輸入,以便測
    發(fā)表于 02-25 18:36

    hyper-v 文件,Hyper-V文件管理:高效操作指南

    在日常辦公中,我們常常需要對大量文件或數(shù)據(jù)進(jìn)行重復(fù)性操作,比如批量修改文件名、批量更新數(shù)據(jù)等。這些任務(wù)不僅耗時費力,還容易出錯。幸運的是,批量管理工具的出現(xiàn)為我們提供了一種高效的解決方案。今天就為
    的頭像 發(fā)表于 02-06 10:27 ?646次閱讀
    hyper-v <b class='flag-5'>文件</b>,Hyper-V<b class='flag-5'>文件</b>管理:高效<b class='flag-5'>操作</b>指南

    ADS131A02開啟Hamming code下,該如何編寫讀寫命令函數(shù)代碼?

    。 問題:這是為什么?在開啟Hamming code下,該如何編寫讀寫命令函數(shù)代碼?(datasheet Page 39和40說的不夠詳細(xì))
    發(fā)表于 12-10 08:35

    EEPROM輕量級的簡易類文件的數(shù)據(jù)讀寫庫:EEPROMFS

    雖然 EEPROM 相對 Flash 讀寫速度更慢,但 EEPROM 一些獨有特性是 Flash 無法實現(xiàn)的,比如字節(jié)讀寫操作。 所以,EEPROM至今依然應(yīng)用在很多電子設(shè)備上,而且市場還不
    的頭像 發(fā)表于 11-15 16:31 ?1107次閱讀
    EEPROM輕量級的簡易類<b class='flag-5'>文件</b>的數(shù)據(jù)<b class='flag-5'>讀寫</b>庫:EEPROMFS

    Windows連接P2Link的FTP服務(wù)——遠(yuǎn)程操作文件

    FTP協(xié)議允許用戶通過客戶端軟件連接到FTP服務(wù)器,進(jìn)行文件的上傳、下載、刪除、重命名等操作。P2Link可快速為本地文件目錄提供公網(wǎng)FTP服務(wù)地址,用于遠(yuǎn)程操作本地
    的頭像 發(fā)表于 11-13 11:48 ?658次閱讀
    Windows連接P2Link的FTP服務(wù)——遠(yuǎn)程<b class='flag-5'>操作文件</b>

    編寫高效Testbench的指南和示例

    Testbench是驗證HDL設(shè)計的主要手段,本文提供了布局和構(gòu)建高效Testbench的指南以及示例。另外,本文還提供了一種示例,可以為任何設(shè)計開發(fā)自檢Testbench
    的頭像 發(fā)表于 10-29 16:14 ?1671次閱讀
    <b class='flag-5'>編寫</b>高效<b class='flag-5'>Testbench</b>的指南和示例

    閃存隨機讀寫與連續(xù)讀寫哪個重要

    快速響應(yīng)的應(yīng)用中,如數(shù)據(jù)庫操作、服務(wù)器處理請求等,隨機讀寫速度至關(guān)重要。 多任務(wù)處理能力 : 隨機讀寫能力強的閃存能夠更有效地處理多個并發(fā)任務(wù),因為它們可以更快地訪問和修改存儲在不同位置的數(shù)據(jù)。 連續(xù)
    的頭像 發(fā)表于 10-12 11:44 ?994次閱讀

    請問TINA仿真軟件如何編寫波形文件

    TINA仿真軟件如何編寫波形文件??
    發(fā)表于 09-05 07:23

    請問TINA9如何編寫波形文件

    TINA9如何編寫波形文件?有相關(guān)教程嗎?
    發(fā)表于 08-21 08:16

    eeprom存儲原理、存儲結(jié)構(gòu)及讀寫操作

    操作。EEPROM具有數(shù)據(jù)保存時間長、可重復(fù)擦寫、讀寫速度快等優(yōu)點,廣泛應(yīng)用于各種電子設(shè)備中。本文將詳細(xì)介紹EEPROM的存儲原理、存儲結(jié)構(gòu)、讀寫操作、編程接口以及應(yīng)用場景。 一、EE
    的頭像 發(fā)表于 08-05 17:03 ?5636次閱讀

    Verilog testbench問題求助

    這是我在HDLbits網(wǎng)站上做到的一道題,是testbench,請問這個代碼為什么input都是低電平0?我設(shè)置的時鐘就是周期10ns,占空比50%的時鐘信號啊?怎么會出現(xiàn)這種情況......
    發(fā)表于 07-21 11:14

    如何實現(xiàn)Python復(fù)制文件操作

    Python 中有許多“開蓋即食”的模塊(比如 os,subprocess 和 shutil)以支持文件 I/O 操作。在這篇文章中,你將會看到一些用 Python 實現(xiàn)文件復(fù)制的特殊方法。下面我們開始學(xué)習(xí)這九種不同的方法來實現(xiàn)
    的頭像 發(fā)表于 07-18 14:53 ?746次閱讀

    讀寫分離怎么保證數(shù)據(jù)同步

    讀寫分離是一種常見的數(shù)據(jù)庫架構(gòu)設(shè)計,用于提高數(shù)據(jù)庫的并發(fā)處理能力。在讀寫分離架構(gòu)中,數(shù)據(jù)庫的讀操作和寫操作被分離到不同的服務(wù)器上,從而實現(xiàn)負(fù)載均衡和性能優(yōu)化。然而,
    的頭像 發(fā)表于 07-12 09:49 ?1568次閱讀

    讀寫分離解決什么問題

    讀寫分離是一種數(shù)據(jù)庫架構(gòu)設(shè)計策略,主要解決數(shù)據(jù)庫在高并發(fā)場景下的讀寫性能瓶頸問題。在這種架構(gòu)中,數(shù)據(jù)庫的讀操作和寫操作被分離到不同的服務(wù)器上,以提高數(shù)據(jù)庫的并發(fā)處理能力和穩(wěn)定性。 一、
    的頭像 發(fā)表于 07-12 09:47 ?797次閱讀
    主站蜘蛛池模板: 午夜男人视频 | 在线看片地址 | 久久午夜免费视频 | 日本精品卡一卡2卡3卡四卡三卡 | 欧美com| 人人干人人看 | 91久久青草精品38国产 | 视频一本大道香蕉久在线播放 | 成人一级毛片 | 视频网站在线 | 欧美一级色| 夜夜想夜夜爽天天爱天天摸 | 免费人成在线观看视频播放 | 色先峰| 美女扒开尿口给男人爽免费视频 | 日本aaaa| 国内精品久久久久久久久蜜桃 | 婷婷六月天激情 | 午夜视频在线免费 | 康熙古代高h细节肉爽文全文 | 久久婷婷激情综合色综合也去 | 亚洲一区二区视频 | h视频免费观看 | 黄色网在线看 | 天堂资源在线bt种子8 | 麒麟色欧美影院在线播放 | 91老色批网站免费看 | 日本黄色小视频 | 波多野结衣在线观看一区二区 | 99一级毛片| 日本不卡视频一区二区三区 | 国产午夜在线视频 | 天天综合色天天综合色sb | 国产图片综合 | 天天干天天操天天添 | 国产成人黄网址在线视频 | 欧美黄色片在线 | 一区三区三区不卡 | 天天爽夜夜爽人人爽免费 | 一级免费看 | 天天翘夜夜洗澡天天做 |