我們以一個(gè)簡(jiǎn)單的加法器為例,來(lái)看下如何用vcs+verdi仿真Verilog文件并查看波形。
源文件內(nèi)容如下:
//adder.v
module adder(
input clk,
input rst,
input [9:0] A,
input [9:0] B,
output reg [10:0] C
);
always @ ( posedge clk ) begin
if(rst)
C <= #`FFD 'b0;
else
C <= #`FFD A + B;
end
endmodule
我們?cè)俣x一個(gè)宏定義的文件:
//macro_define.sv
`define FFD 1ns
我們需要再定義一個(gè)testbench文件:
//test.sv
module test;
reg clk;
reg rst;
reg [9:0] A;
reg [9:0] B;
wire [10:0] C;
initial begin
rst = 1;
A = 0;
B = 0;
#1us;
rst = 0;
#1us;
A = 10'd100;
B = 10'd200;
#1us;
A = 10'd300;
B = 10'd400;
#20us;
$finish;
end
initial begin
clk = 0;
forever #10ns clk <= ~clk;
end
adder add_inst(
.clk(clk),
.rst(rst),
.A(A),
.B(B),
.C(C)
);
`ifdef DUMP_FSDB
initial begin
$fsdbDumpfile("tb.fsdb");
$fsdbDumpvars("+all");
//string testname;
//if($value$plusargs("TESTNAME=%s", testname)) begin
// $fsdbDumpfile({testname, "_sim_dir/", testname, ".fsdb"});
//end else begin
// $fsdbDumpfile("tb.fsdb");
//end
end
`endif
endmodule
再定義一個(gè)filelist文件:dut.f
./macro_define.sv
./adder.v
./test.sv
最后就是需要一個(gè)Makefile文件了:
#!/bin/make
all: comp sim
comp:
vcs -full64 -timescale=1ns/1ps -V -R -sverilog \\
-debug_access+all +vc +v2k -kdb \\
-l vcs.log \\
-f dut.f +define+DUMP_FSDB=1 \\
-top test
sim:
./simv -l simv.log
clean:
rm -rf *~ core csrc simv* vc_hdrs.h ucli.key urg* *.log novas.* *.fsdb* verdiLog 64* DVEfiles *.vpd
總的文件如下:
image-20230507181530700
執(zhí)行make all
:
image-20230507181735377
跑完后如下:
image-20230507181825085
生成的文件如下:
image-20230507182042134
用verdi打開(kāi)波形:verdi -ssf tb.fsdb
image-20230507182017953
可以看到波形如下:
-
Verilog
+關(guān)注
關(guān)注
28文章
1363瀏覽量
111397 -
加法器
+關(guān)注
關(guān)注
6文章
183瀏覽量
30518 -
VCS
+關(guān)注
關(guān)注
0文章
80瀏覽量
9804 -
Verdi
+關(guān)注
關(guān)注
0文章
22瀏覽量
8931
發(fā)布評(píng)論請(qǐng)先 登錄
相關(guān)推薦
請(qǐng)教VCS和verdi怎么聯(lián)合使用
VCS仿真卡住,為什么無(wú)法生成verdi波形文件呢?
請(qǐng)問(wèn)如何更新bin/run.makefile以支持VCS+Verdi工具?
在Linux上用vcs+verdi對(duì)demo_nice進(jìn)行仿真沒(méi)有成功的原因?
新思科技采用VCS 與 Verdi 結(jié)合,推出與眾不同的芯片設(shè)計(jì)
vcs和verdi的調(diào)試及聯(lián)合仿真案例
如何通過(guò)自動(dòng)化腳本實(shí)現(xiàn)Questasim和Verdi的聯(lián)合仿真

vcs學(xué)習(xí)筆記(常用選項(xiàng)/仿真流程/代碼覆蓋率/綜合后仿真/圖一樂(lè)技巧)
利用vcs+verdi仿真工具蜂鳥(niǎo)E200系列處理器仿真分析
全網(wǎng)最實(shí)用的Verdi教程1

Verdi環(huán)境配置、生成波形的方法

評(píng)論