在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何用vcs+verdi仿真Verilog文件

jf_78858299 ? 來(lái)源:傅里葉的貓 ? 作者:jf_1689824261.9786 ? 2023-05-11 17:03 ? 次閱讀

我們以一個(gè)簡(jiǎn)單的加法器為例,來(lái)看下如何用vcs+verdi仿真Verilog文件并查看波形。

源文件內(nèi)容如下:

//adder.v
module adder(
 input          clk,
 input          rst,
 input   [9:0]  A,
 input   [9:0]  B,
 output reg [10:0] C
);

always @ ( posedge clk ) begin
    if(rst)
        C <= #`FFD 'b0;
    else
        C <= #`FFD A + B;
end

endmodule

我們?cè)俣x一個(gè)宏定義的文件:

//macro_define.sv
`define FFD 1ns

我們需要再定義一個(gè)testbench文件:

//test.sv
module test;

reg clk;
reg rst;
reg [9:0] A;
reg [9:0] B;
wire [10:0] C;

initial begin
    rst = 1;
    A = 0;
    B = 0;
    #1us;
    rst = 0;
    #1us;
    A = 10'd100;
    B = 10'd200;
    #1us;
    A = 10'd300;
    B = 10'd400;
        #20us;
        $finish;
end

initial begin
    clk = 0;
    forever  #10ns clk <= ~clk;
end

adder add_inst(
    .clk(clk),
    .rst(rst),
    .A(A),
    .B(B),
    .C(C)
);

`ifdef DUMP_FSDB
    initial begin 
                $fsdbDumpfile("tb.fsdb");
        $fsdbDumpvars("+all");

        //string testname;
        //if($value$plusargs("TESTNAME=%s", testname)) begin
        //    $fsdbDumpfile({testname, "_sim_dir/", testname, ".fsdb"});
        //end else begin
        //    $fsdbDumpfile("tb.fsdb");
        //end
    end 
`endif 

endmodule

再定義一個(gè)filelist文件:dut.f

./macro_define.sv
./adder.v
./test.sv

最后就是需要一個(gè)Makefile文件了:

#!/bin/make
all: comp sim

comp:
        vcs -full64 -timescale=1ns/1ps -V -R -sverilog \\
        -debug_access+all +vc +v2k -kdb \\
        -l vcs.log \\
        -f dut.f +define+DUMP_FSDB=1 \\
        -top test 

sim:
        ./simv -l simv.log

clean:
        rm -rf *~  core  csrc  simv*  vc_hdrs.h  ucli.key  urg* *.log  novas.* *.fsdb* verdiLog  64* DVEfiles *.vpd

總的文件如下:

圖片

image-20230507181530700

執(zhí)行make all

圖片

image-20230507181735377

跑完后如下:

圖片

image-20230507181825085

生成的文件如下:

圖片

image-20230507182042134

用verdi打開(kāi)波形:verdi -ssf tb.fsdb

圖片

image-20230507182017953

可以看到波形如下:

圖片

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1363

    瀏覽量

    111397
  • 加法器
    +關(guān)注

    關(guān)注

    6

    文章

    183

    瀏覽量

    30518
  • VCS
    VCS
    +關(guān)注

    關(guān)注

    0

    文章

    80

    瀏覽量

    9804
  • Verdi
    +關(guān)注

    關(guān)注

    0

    文章

    22

    瀏覽量

    8931
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    vcs實(shí)用技巧

    VCS是編譯型verilog仿真器,VCS先將verilog/systemverilog文件轉(zhuǎn)化
    的頭像 發(fā)表于 10-25 17:22 ?1567次閱讀
    <b class='flag-5'>vcs</b>實(shí)用技巧

    請(qǐng)教VCSverdi怎么聯(lián)合使用

    最近要用到VCS仿真后生成FSDB文件,然后在Verdi中進(jìn)行自動(dòng)偵錯(cuò),請(qǐng)問(wèn)我怎么安裝Verdi這個(gè)軟件以及如何啟動(dòng)license,并且怎么
    發(fā)表于 01-22 14:53

    VCS+Verdi如何安裝?怎么破解?

    VCS+Verdi如何安裝?怎么破解?
    發(fā)表于 06-21 06:11

    VCS仿真卡住,為什么無(wú)法生成verdi波形文件呢?

    在make com編譯成功后,terminal卡住了一直沒(méi)有反應(yīng),這是什么情況有大佬知道嗎?VCS仿真卡住,為什么無(wú)法生成verdi波形文件呢?
    發(fā)表于 06-21 08:14

    請(qǐng)問(wèn)如何更新bin/run.makefile以支持VCS+Verdi工具?

    如何更新bin/run.makefile以支持VCS+Verdi工具?
    發(fā)表于 08-11 10:08

    在Linux上用vcs+verdi對(duì)demo_nice進(jìn)行仿真沒(méi)有成功的原因?

    請(qǐng)教大神,我在Linux上用vcs+verdi對(duì)demo_nice進(jìn)行仿真,但是沒(méi)有成功 我是用hibrd.sdk把demo_nice編譯成.verilog文件的,其內(nèi)容如下 另
    發(fā)表于 08-12 08:07

    新思科技采用VCSVerdi 結(jié)合,推出與眾不同的芯片設(shè)計(jì)

    Graphcore 芯片業(yè)務(wù)副總裁 Phil Horsfield 說(shuō):“為了對(duì)我們的 IPU 加速器進(jìn)行全面驗(yàn)證,需要每天進(jìn)行涵蓋數(shù)以千計(jì)的復(fù)雜測(cè)試場(chǎng)景的仿真回歸分析。新思科技 VCS
    的頭像 發(fā)表于 08-28 15:22 ?3762次閱讀

    vcsverdi的調(diào)試及聯(lián)合仿真案例

    若想用Verdi觀察波形,需要在仿真時(shí)生成fsdb文件,而fsdb在vcs或者modelsim中的生成是通過(guò)兩個(gè)系統(tǒng)調(diào)用$fsdbDumpfile $fsdbDumpvars來(lái)實(shí)現(xiàn)的。
    的頭像 發(fā)表于 09-22 15:01 ?8930次閱讀

    如何通過(guò)自動(dòng)化腳本實(shí)現(xiàn)Questasim和Verdi的聯(lián)合仿真

    Verdi是用來(lái)仿真以及debug波形的工具,但它不能夠用來(lái)編譯verilog和systemverilog文件,所以需要借助第三方EDA工具去做編譯的工作。 這里主要分享一下使用que
    的頭像 發(fā)表于 06-13 17:00 ?4955次閱讀
    如何通過(guò)自動(dòng)化腳本實(shí)現(xiàn)Questasim和<b class='flag-5'>Verdi</b>的聯(lián)合<b class='flag-5'>仿真</b>

    vcs學(xué)習(xí)筆記(常用選項(xiàng)/仿真流程/代碼覆蓋率/綜合后仿真/圖一樂(lè)技巧)

    VCS是編譯型verilog仿真器,VCS先將verilog/systemverilog文件轉(zhuǎn)化
    的頭像 發(fā)表于 05-23 16:04 ?1.1w次閱讀

    利用vcs+verdi仿真工具蜂鳥(niǎo)E200系列處理器仿真分析

    開(kāi)源RISC-V Hummingbird E203(蜂鳥(niǎo)E203)的仿真工具是開(kāi)源的iverilog,這里利用vcs+verdi仿真工具進(jìn)行仿真
    的頭像 發(fā)表于 11-17 10:28 ?3291次閱讀

    全網(wǎng)最實(shí)用的Verdi教程1

    Verdi是一個(gè)功能強(qiáng)大的debug工具,可以配合不同的仿真軟件進(jìn)行debug,很多企業(yè)常用VCS+Verdi或者Xcelium/xrun+Verdi的方式進(jìn)行代碼的
    的頭像 發(fā)表于 05-05 14:49 ?1.8w次閱讀
    全網(wǎng)最實(shí)用的<b class='flag-5'>Verdi</b>教程1

    何用vcs+verdi仿真Verilog文件并查看波形呢?

    我們以一個(gè)簡(jiǎn)單的加法器為例,來(lái)看下如何用vcs+verdi仿真Verilog文件并查看波形。
    的頭像 發(fā)表于 05-08 16:00 ?6899次閱讀
    如<b class='flag-5'>何用</b><b class='flag-5'>vcs+verdi</b><b class='flag-5'>仿真</b><b class='flag-5'>Verilog</b><b class='flag-5'>文件</b>并查看波形呢?

    Verdi環(huán)境配置、生成波形的方法

    Verdi是一個(gè)功能強(qiáng)大的debug工具,可以配合不同的仿真軟件進(jìn)行debug,很多企業(yè)常用的就是VCS+Verdi或或者Xcelium(xrun)+Verdi的方式進(jìn)行代碼的
    的頭像 發(fā)表于 05-29 09:48 ?5385次閱讀
    <b class='flag-5'>Verdi</b>環(huán)境配置、生成波形的方法

    VCS實(shí)用技巧分享

    VCS是編譯型verilog仿真器,VCS先將verilog/systemverilog文件轉(zhuǎn)化
    的頭像 發(fā)表于 05-30 09:26 ?1590次閱讀
    <b class='flag-5'>VCS</b>實(shí)用技巧分享
    主站蜘蛛池模板: 99国产精品久久久久久久成人热 | 久久免费视频精品 | 午夜毛片福利 | 毛片毛片 | 激情文学亚洲色图 | 手机毛片在线 | 一级特黄特色的免费大片视频 | 韩国电影天堂 | 日本aaaaa毛片动漫 | 免费看欧美一级特黄a大片 免费看欧美一级特黄a大片一 | 欧美一卡二卡3卡4卡无卡六卡七卡科普 | 欧美xxxx性疯狂bbbb | 欧美天天干 | 亚洲天堂免费看 | 在线天堂资源www中文在线 | 美女扒开尿口给男的桶个爽 | ww久久| xxxx日| 青草青青产国视频在线 | 2020天天操| 日本高清高色 | 69国产成人精品午夜福中文 | 亚洲一区欧美二区 | 国外精品视频在线观看免费 | 国产欧美日韩综合精品无毒 | 波多野结衣中文字幕教师 | 一国产大片在线观看 | 日韩欧美亚洲一区 | 91网站在线播放 | 色婷婷成人网 | 欧美一卡二卡3卡4卡无卡六卡七卡科普 | 亚洲a区视频 | 国产情侣自拍小视频 | 天天看天天爽 | 色综合免费视频 | 2019天天干夜夜操 | 欧美影院一区 | 456成人网 | 午夜欧美精品久久久久久久久 | 婷婷六月在线 | 人人人插 |