我們以一個(gè)簡(jiǎn)單的加法器為例,來(lái)看下如何用vcs+verdi仿真Verilog文件并查看波形。
源文件內(nèi)容如下:
//adder.v moduleadder( inputclk, inputrst, input[9:0]A, input[9:0]B, outputreg[10:0]C ); always@(posedgeclk)begin if(rst) C<=?#`FFD?'b0; ????else ????????C?<=?#`FFD?A?+?B; end endmodule
我們?cè)俣x一個(gè)宏定義的文件:
//macro_define.sv `defineFFD1ns
我們需要再定義一個(gè)testbench文件:
//test.sv moduletest; regclk; regrst; reg[9:0]A; reg[9:0]B; wire[10:0]C; initialbegin rst=1; A=0; B=0; #1us; rst=0; #1us; A=10'd100; B=10'd200; #1us; A=10'd300; B=10'd400; #20us; $finish; end initialbegin clk=0; forever#10nsclk<=?~clk; end adder?add_inst( ????.clk(clk), ????.rst(rst), ????.A(A), ????.B(B), ????.C(C) ); `ifdef?DUMP_FSDB ????initial?begin? ????????????????$fsdbDumpfile("tb.fsdb"); ????????$fsdbDumpvars("+all"); ????????//string?testname; ????????//if($value$plusargs("TESTNAME=%s",?testname))?begin ????????//????$fsdbDumpfile({testname,?"_sim_dir/",?testname,?".fsdb"}); ????????//end?else?begin ????????//????$fsdbDumpfile("tb.fsdb"); ????????//end ????end? `endif? endmodule
再定義一個(gè)filelist文件:dut.f
./macro_define.sv ./adder.v ./test.sv
最后就是需要一個(gè)Makefile文件了:
#!/bin/make all:compsim comp: vcs-full64-timescale=1ns/1ps-V-R-sverilog -debug_access+all+vc+v2k-kdb -lvcs.log -fdut.f+define+DUMP_FSDB=1 -toptest sim: ./simv-lsimv.log clean: rm-rf*~corecsrcsimv*vc_hdrs.hucli.keyurg**.lognovas.**.fsdb*verdiLog64*DVEfiles*.vpd
總的文件如下:
執(zhí)行make all:
跑完后如下:
生成的文件如下:
用verdi打開波形:verdi -ssf tb.fsdb
可以看到波形如下:
審核編輯:劉清
-
Verilog
+關(guān)注
關(guān)注
29文章
1366瀏覽量
111923 -
加法器
+關(guān)注
關(guān)注
6文章
183瀏覽量
30639 -
VCS
+關(guān)注
關(guān)注
0文章
80瀏覽量
9859
原文標(biāo)題:vcs+verdi仿真Verilog代碼
文章出處:【微信號(hào):傅里葉的貓,微信公眾號(hào):傅里葉的貓】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。
發(fā)布評(píng)論請(qǐng)先 登錄
請(qǐng)教VCS和verdi怎么聯(lián)合使用
VCS仿真卡住,為什么無(wú)法生成verdi波形文件呢?
基于linux系統(tǒng)的VCS使用及仿真說(shuō)明
請(qǐng)問(wèn)如何更新bin/run.makefile以支持VCS+Verdi工具?
在Linux上用vcs+verdi對(duì)demo_nice進(jìn)行仿真沒有成功的原因?
vcs和verdi的調(diào)試及聯(lián)合仿真案例
如何通過(guò)自動(dòng)化腳本實(shí)現(xiàn)Questasim和Verdi的聯(lián)合仿真

如何使用Icarus Verilog+GTKWave來(lái)進(jìn)行verilog文件的編譯和仿真

利用vcs+verdi仿真工具蜂鳥E200系列處理器仿真分析
全網(wǎng)最實(shí)用的Verdi教程1

全網(wǎng)最實(shí)用的Verdi教程2

全網(wǎng)最實(shí)用的Verdi教程3

Verdi環(huán)境配置、生成波形的方法

評(píng)論